Saturday 8 October 2016

ADE Lab Experiment 11: Generate a Ramp output waveform using DAC0800.

Generating a Ramp output waveform using DAC0800 (Inputs are given to DAC through IC74393 dual 4-bit binary counter).

Circuit Diagram:

In the above configuration the DAC 0800 produces output voltages at EO and EO’ terminals as follows:


The output voltages obtained for some of the individual counts are as follows:


Procedure:

  •   Rig up the circuit as shown in the diagram with proper power supplies in a trainer kit. 
  •   Keep the MSB bits in a suitable required value using toggle switches (eg: 0110 = 9)
  •  Feed the LSB bits from the 4 bit counter which counts from 0000 to 1111. 
    •  (Alternatively we can construct a full 8bit counter using 74LS393)
  •   Supply a 1KHz clock to the counter and observe the ramp output on CRO.
  • Voltages for individual counts can be measured in a voltmeter by applying mono pulses to the counter.
Calculations: 

           Output voltages can be theoretically calculated using following formula:
Output: 
           The output wave form obtained (for counts 96 to 111) is as shown below-



Need any help ..? 
Please Contact:     nageshub@gmail.com / nageshub.ise@vcetputtur.ac.in

2 comments:

  1. Very helpful blog..Thank you sir

    ReplyDelete
  2. How to deposit money at a Casino: Roulette, Craps and other
    With all the information you get from Casino.io, you can 구미 출장샵 enjoy playing online Roulette, Craps 여주 출장안마 and other popular 동두천 출장샵 casino 정읍 출장안마 games at the same 목포 출장샵

    ReplyDelete